Cyclone® V FPGA – Video and Image Processing on DVI Display Design Example

Cyclone® V FPGA – Video and Image Processing on DVI Display Design Example

715165
4/13/2017

Introduction

This design example demonstrates the following: (1) A framework for rapid development of video and image processing systems. (2) Dynamic scaling, clipping, flashing, moving, sharpening, and finite impulse response (FIR) filtering of both standard definition (SD) and high definition (HD) inputs. (3) Picture-in-picture mixing with a background layer. (4) Run-time control of different parts of the system, including a radar that uses on-screen display functions. 5) Debugging components for monitoring the video stream from within the data path. The design example runs from the Bitec HSMC digital video interface (DVI) and Bitec HSMC Quad Video daughter cards. The standard definition video stream is in either National Television System Committee (NTSC) or phase alternation line (PAL) format. The high-definition video stream uses a DVI port.

Design Details

Device Family

Cyclone® V FPGAs and SoC FPGAs

Quartus Edition

Intel® Quartus® Prime Standard Edition

Quartus Version

17.0

IP Cores (75)
IP Core IP Core Category
Altera PLL ClocksPLLsResets
Clipper II (4K Ready) AudioVideo
Avalon-ST Single Clock FIFO QsysInterconnect
Color Space Converter (CSC) II (4K Ready) AudioVideo
CSC Algorithmic Core Other
Clocked Video Input II (4K Ready) AudioVideo
Clocked Video Output II (4K Ready) AudioVideo
Video Input Bridge AudioVideo
Trace System QsysInterconnect
Avalon ST Debug Fabric QsysInterconnect
Avalon-ST Demultiplexer QsysInterconnect
Avalon-ST Channel Adapter QsysInterconnect
Altera Management Reset Block Other
Avalon-ST Timing Adapter QsysInterconnect
Avalon-ST Multiplexer QsysInterconnect
JTAG Debug Link ConfigurationProgramming
JTAG Debug Link (internal module) ConfigurationProgramming
Avalon-ST Bytes to Packets Converter QsysInterconnect
Avalon-ST JTAG Interface QsysInterconnect
Avalon-ST Packets to Bytes Converter QsysInterconnect
Trace Fabric QsysInterconnect
Avalon-MM Pipeline Bridge QsysInterconnect
altera_trace_capture_controller QsysInterconnect
Avalon-ST Pipeline Stage QsysInterconnect
Avalon-ST Data Format Adapter QsysInterconnect
On-Chip Memory (RAM or ROM) OnChipMemory
MM Interconnect QsysInterconnect
Avalon-ST Adapter QsysInterconnect
Avalon-ST Error Adapter QsysInterconnect
Avalon-MM Slave Agent QsysInterconnect
Avalon-MM Slave Translator QsysInterconnect
Memory-Mapped Demultiplexer QsysInterconnect
Memory-Mapped Multiplexer QsysInterconnect
Memory-Mapped Router QsysInterconnect
Avalon-MM Master Agent QsysInterconnect
Memory-Mapped Traffic Limiter QsysInterconnect
Avalon-MM Master Translator QsysInterconnect
Trace ROM QsysInterconnect
Reset Controller QsysInterconnect
Timestamp monitor QsysInterconnect
transacto_lite SimulationDebugVerification
Deinterlacer II (with Sobel based HQ mode) AudioVideo
Avalon-ST Video Monitor QsysInterconnect
Avalon-ST Video Monitor Core QsysInterconnect
avalon streaming monitor QsysInterconnect
Vectored Interrupt Controller QsysInterconnect
VIC CSR Block AudioVideo
VIC Priority Block AudioVideo
VIC Vector Block AudioVideo
IRQ Mapper QsysInterconnect
JTAG UART ConfigurationProgramming
DDR3 SDRAM Controller with UniPHY ExternalMemoryInterfaces
Altera DDR3 Nextgen Memory Controller ExternalMemoryInterfaces
Altera Nextgen Memory Controller MM-ST Adapter ExternalMemoryInterfaces
Altera DDR3 Nextgen Memory Controller Core ExternalMemoryInterfaces
External Memory DLL block ExternalMemoryInterfaces
altera_jtag_avalon_master QsysInterconnect
Avalon Packets to Transaction Converter QsysInterconnect
Altera DDR3 AFI Multiplexer ExternalMemoryInterfaces
External Memory OCT block ExternalMemoryInterfaces
DDR3 SDRAM External Memory PHY ExternalMemoryInterfaces
DDR3 SDRAM External Memory PLL/DLL/OCT block ExternalMemoryInterfaces
DDR3 SDRAM Qsys Sequencer ExternalMemoryInterfaces
Avalon-ST Handshake Clock Crosser QsysInterconnect
Memory-Mapped Burst Adapter QsysInterconnect
Memory-Mapped Width Adapter QsysInterconnect
Nios II Gen2 Processor NiosII
video pixel sampling monitor AudioVideo
Mixer II (4K Ready) AudioVideo
Frame Buffer II (4K Ready) AudioVideo
2D-FIR DSP
FIR Algorithmic Core DSP
Scaler II AudioVideo
Scaler Algorithmic Core AudioVideo
Interval Timer Peripherals

Detailed Description

Prepare the design template in the Quartus Prime software GUI (version 14.1 and later)


Note: After downloading the design example, you must prepare the design template. The file you downloaded is of the form of a <project>.par file which contains a compressed version of your design files (similar to a .qar file) and metadata describing the project. The combination of this information is what constitutes a <project>.par file. In releases 16.0 or newer, you can simply double click on the <project>.par file and Quartus will launch that project.


The second means to bring up the project template is through the New Project Wizard (File -> New Project Wizard). After entering the project name and folder on the first panel, the second panel will ask you to specify an empty project or project template. Select project template. You will see a list of Design Templates projects that you have loaded prior as well as various "Baseline Pinout Designs" that contain the pinout and settings for a variety of development kits. If you don't see your design template in the list, click on the link that states install the Design Templates circled below:



Browse to the <project>.par file you downloaded, click next, followed by Finish, and your design template will be installed and displayed in the Project Navigator pane in Quartus.


Note: When a design is stored in the Design Store as a design template, it has been previously regression tested against the stated version of Quartus software. The regression ensures the design template passes analysis/synthesis/fitting/assembly steps in the Quartus design flow.



Prepare the design template in the Quartus Prime software command-line


At the command-line, type the following command:

quartus_sh --platform_install -package <project directory>/<project>.par


Once the process completes, then type:

quartus_sh --platform -name <project>



Note:

* ACDS Version: 17.0.0 Standard


Design Details

Device Family

Cyclone® V FPGAs and SoC FPGAs

Quartus Edition

Intel® Quartus® Prime Standard Edition

Quartus Version

17.0