Intel® MAX® 10 FPGA – Terasic's Intel MAX 10 FPGA NEEK Board Test System Running uC/OS-II* Design Example

Intel® MAX® 10 FPGA – Terasic's Intel MAX 10 FPGA NEEK Board Test System Running uC/OS-II* Design Example

714856
5/25/2016

Introduction

This is a configurable Nios® II processor system targeted at Terasic's Intel® MAX® 10 FPGA NEEK Board. The objective is to provide customers with a design that tests individual peripherals. This integrated platform includes hardware, intellectual property (IP) cores, and embedded software based on uC/OS-II*. This design interfaces with each hardware component on Terasic's Intel MAX 10 FPGA NEEK Board.

Development Kit

Development Kit

Design Details

Device Family

Intel® MAX® 10 FPGAs

Quartus Edition

Intel® Quartus® Prime Standard Edition

Quartus Version

16.0

Other Tags

MAX® 10 NEEK

IP Cores (50)
IP Core IP Core Category
Altera Modular ADC core ADC
Altera Modular ADC Control core ADC
Altera GPIO Lite Other
PIO (Parallel I/O) Other
On-Chip Memory (RAM or ROM) OnChipMemory
Clocked Video Output II (4K Ready) AudioVideo
alt_vip_cvo_core AudioVideo
CVO scheduler Other
Switch Other
Frame Reader Other
Avalon ALTPLL ClocksPLLsResets
Avalon-ST Adapter QsysInterconnect
Avalon-ST Timing Adapter QsysInterconnect
Altera Generic QUAD SPI controller ConfigurationProgramming
Altera ASMI Parallel ConfigurationProgramming
Altera EPCQ Serial Flash controller core ConfigurationProgramming
Altera SOFT ASMIBLOCK Other
IRQ Mapper QsysInterconnect
IRQ Clock Crosser QsysInterconnect
JTAG UART ConfigurationProgramming
DDR3 SDRAM Controller with UniPHY ExternalMemoryInterfaces
Altera DDR3 Nextgen Memory Controller ExternalMemoryInterfaces
Altera Nextgen Memory Controller MM-ST Adapter ExternalMemoryInterfaces
Altera DDR3 Nextgen Memory Controller Core ExternalMemoryInterfaces
Altera DDR3 AFI Multiplexer ExternalMemoryInterfaces
DDR3 SDRAM External Memory PHY ExternalMemoryInterfaces
DDR3 SDRAM External Memory PLL/DLL/OCT block ExternalMemoryInterfaces
DDR3 SDRAM Qsys Sequencer ExternalMemoryInterfaces
Avalon-MM Master Agent QsysInterconnect
Avalon-MM Master Translator QsysInterconnect
Avalon-MM Slave Agent QsysInterconnect
Avalon-ST Single Clock FIFO QsysInterconnect
Avalon-MM Slave Translator QsysInterconnect
Avalon-MM Clock Crossing Bridge QsysInterconnect
MM Interconnect QsysInterconnect
Memory-Mapped Burst Adapter QsysInterconnect
Memory-Mapped Width Adapter QsysInterconnect
Avalon-ST Pipeline Stage QsysInterconnect
Avalon-ST Error Adapter QsysInterconnect
Memory-Mapped Demultiplexer QsysInterconnect
Memory-Mapped Multiplexer QsysInterconnect
Avalon-ST Handshake Clock Crosser QsysInterconnect
Memory-Mapped Traffic Limiter QsysInterconnect
Memory-Mapped Router QsysInterconnect
Nios II Gen2 Processor NiosII
Reset Controller QsysInterconnect
Scatter-Gather DMA Controller BridgesAndAdaptors
System ID Peripheral Other
Interval Timer Peripherals
Triple-Speed Ethernet Ethernet

Detailed Description

Prepare the design template in the Quartus Prime software GUI (version 14.1 and later)


Note: After downloading the design example, you must prepare the design template. The file you downloaded is of the form of a <project>.par file which contains a compressed version of your design files (similar to a .qar file) and metadata describing the project. The combination of this information is what constitutes a <project>.par file. In releases 16.0 or newer, you can simply double click on the <project>.par file and Quartus will launch that project.


The second means to bring up the project template is through the New Project Wizard (File -> New Project Wizard). After entering the project name and folder on the first panel, the second panel will ask you to specify an empty project or project template. Select project template. You will see a list of Design Templates projects that you have loaded prior as well as various "Baseline Pinout Designs" that contain the pinout and settings for a variety of development kits. If you don't see your design template in the list, click on the link that states install the Design Templates circled below:



Browse to the <project>.par file you downloaded, click next, followed by Finish, and your design template will be installed and displayed in the Project Navigator pane in Quartus.


Note: When a design is stored in the Design Store as a design template, it has been previously regression tested against the stated version of Quartus software. The regression ensures the design template passes analysis/synthesis/fitting/assembly steps in the Quartus design flow.



Prepare the design template in the Quartus Prime software command-line


At the command-line, type the following command:

quartus_sh --platform_install -package <project directory>/<project>.par


Once the process completes, then type:

quartus_sh --platform -name <project>



Note:

* ACDS Version: 16.0.0 Standard


Development Kit

Development Kit

Design Details

Device Family

Intel® MAX® 10 FPGAs

Quartus Edition

Intel® Quartus® Prime Standard Edition

Quartus Version

16.0

Other Tags

MAX® 10 NEEK